[Suzaku:01351] ISE での GPIO 使用方法について

Yusuke Nakamori email@hidden
2009年 1月 21日 (水) 23:40:25 JST


中森です.

夜遅くに失礼します.

EDK で追加した GPIO に ISE でのモジュールの出力を出力したいのですが
どのように行えばよろしいのでしょうか.
なお,開発環境は ISE 10.1.03 と EDK 10.1.03 です.

( SUZAKU スターターキットガイド FPGA 開発編の第 12 章の応用を行えばよろしいのでしょうか.)

どなたか御教授お願い致します.




suzaku メーリングリストの案内