[Suzaku:01352] Re: ISE での GPIO 使用方法について

mio email@hidden
2009年 1月 22日 (木) 10:03:34 JST


中島です。

Yusuke Nakamori さんは書きました:
> 中森です.
> 
> 夜遅くに失礼します.
> 
> EDK で追加した GPIO に ISE でのモジュールの出力を出力したいのですが
> どのように行えばよろしいのでしょうか.
> なお,開発環境は ISE 10.1.03 と EDK 10.1.03 です.
> 
> ( SUZAKU スターターキットガイド FPGA 開発編の第 12 章の応用を行えばよろしいのでしょうか.)
> 
> どなたか御教授お願い致します.
> 
SUZAKUのデフォルトのFPGAプロジェクトを使っているのであれば、
EDKにてGPIOを追加した後、12章の作業を行えばいけると思います。

EDKのHelp -> Help Topics -> Contents -> エンベッドプロセッサの設計手順
             -> XPSデザインをISEサブモジュールとして作成
なども参考になると思うので見てみてください。



suzaku メーリングリストの案内