[Suzaku:00434] Re: ハードマニュアル

Yasushi SHOJI email@hidden
2005年 11月 7日 (月) 14:43:03 JST


At Tue, 01 Nov 2005 18:02:44 +0900,
kyosuke yoshizu wrote:
[...]
> Table 6-3 FPGA Pin Assignment External I/O (3/3)の表で
> FPGAピン番号 L15は CON5でなくCON4ですよね?

ご指摘ありがとうございます。次回改版時に修正いたします。
--
        yashi



suzaku メーリングリストの案内