[Suzaku:00539] Re: PIN割り当て時のエラーについて

mio email@hidden
2006年 6月 16日 (金) 21:11:52 JST


中島と申します。

もしかすると、ucfのファイルをPACEを使わずにテキストエディタで設定すると
うまくいくかもしれません。
Processes for sourceに表示されるUserConstraintsのEdit Constrains(Text)を
クリックすると、
テキストエディタで開くことができます。

ハードウェアマニュアルではテキストエディタで設定する方法(p47)を紹介し
ているので、
参考にしてみてください。

> 防災科研の石川と申します。
>
> よろしくお願いします。
>
> ISE7.1.04i、EDK7.1.2を使用しております。
>
> SUZAKU-Vで自作の回路を付け加えようとしているのですがうまく行かないので質
> 問させてください。
>  まず、添付のCD-ROM内にあるハードウエアマニュアルを参考にopb_uartliteを
> 付け加えずにプロジェクトを作成いたしました。名前はマニュアルどおりtopで
> す。
>  その後、opb_uartliteの付け加え方を参考に自作の回路を付け加えたのですが
> 以下の症状が出ます。
> 1.top.ucfをダブルクリックするとPACEが立ち上がりますが、Design Object
> List - I/O PinsにENTITY top ISのPORTに付け加えた変数が表示されない。
> 2.top-imp(top.vhd)を選択したときにProcesses for sourceに表示されるUser
> ConstraintsのAssign Package Pinsを選んでPACEを起動させるとDesign Object
> List - I/O PinsにENTITY top ISのPORTに付け加えた変数が表示される。
> 3.2で表示された変数にPINを設定し、Generate Programming Fileを選択する
> と2で設定した中で1で表示されず2で表示される変数だけCould not find
> net(s) '変数名'〜と表示されエラーとなる。
>
> 自作の回路はペリフェラルコアなどを付け加えずに合成可能であることを確認し
> ております。
>
> どうすればうまくいくのでしょうか?
> ご存じの方教えてください。
>
>   
-- 





suzaku メーリングリストの案内