[Suzaku:00883] Re: Fw: JTAG のDEBUGについて

email@hidden
2007年 4月 12日 (木) 21:35:59 JST


催 です
   今両方変更しましたが 直りました
   * i_lmb_bram_if_cntlr 変更されてないでした
   有難うございます


******************************************************
Sourcing tcl file
D:/EDK/hw/XilinxProcessorIPLib/pcores/intc_core_v1_00_c/data/intc_core_v2_1_0.tc
l ...

Performing System level DRCs on properties...

Check platform configuration ...
opb_v20 (d_opb_v20) - F:\suzaku\xps_proj.mhs line 164 - 2 master(s) : 10
slave(s)
lmb_v10 (i_lmb_v10) - F:\suzaku\xps_proj.mhs line 172 - 1 master(s) : 1
slave(s)
lmb_v10 (d_lmb_v10) - F:\suzaku\xps_proj.mhs line 180 - 1 master(s) : 1
slave(s)

Check port drivers...
ERROR:MDT - DBG_REG_EN_s (DBG_REG_EN) - F:\suzaku\xps_proj.mhs line 76 -
   connection has no driver!
WARNING:MDT - dcm_0_lock (LOCKED) - F:\suzaku\xps_proj.mhs line 259 -
floating
   connection!
WARNING:MDT - dcm_0_lock_0 (LOCKED) - F:\suzaku\xps_proj.mhs line 275 -
floating
   connection!
WARNING:MDT - DBG_REG_s (Dbg_Reg_En_0) - F:\suzaku\xps_proj.mhs line 334 -
   floating connection!

Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC...
INFO: The sdram_controller core has constraints automatically generated by
XPS in
implementation/sdram_controller_wrapper/sdram_controller_wrapper.ucf.
It can be overridden by constraints placed in the system.ucf file.

ERROR:MDT - platgen failed with errors!
********************************************************









                                                                           
             mio                                                           
             <email@hidden                                             
             o.com>                                                   宛先 
             送信者:                    SUZAKU general discussion list     
             email@hidden          <email@hidden>   
             sts.atmark-techno                                          cc 
             .com                                                          
                                                                      件名 
                                        [Suzaku:00881] Re: Fw: JTAG の     
             2007/04/12 19:47           DEBUGについて                      
                                                                           
                                                                           
              SUZAKU general                                               
              discussion list                                              
             <email@hidden                                             
              ark-techno.com>                                              
                    へ                                                     
             返信してください                                              
                                                                           
                                                                           




中島です。

email@hidden wrote:
> お世話になります
> AVIXの催と申します
> 1ヶ月前SUZAKU−S(SZ130)を購入しましたが 現在は勉強中ですが
> Start Kit Guide(FPGA)Version2.1.5をもとに
> 進んでいますが  今257ページのところにエラーが出ました エラー出るの
イ
> メージはGuideと違いますが 以下のイメージが転送します
> ***********************************************
> Sourcing tcl file
>
D:/EDK/hw/XilinxProcessorIPLib/pcores/intc_core_v1_00_c/data/intc_core_v2_1_0.tc

> l ...
>
> Performing System level DRCs on properties...
>
> Check platform configuration ...
> ERROR:MDT - bram_block (bram_block_0) - F:\suzaku\xps_proj.mhs line 147 -
>    multiple address windows sizes differ!
> opb_v20 (d_opb_v20) - F:\suzaku\xps_proj.mhs line 164 - 2 master(s) : 10
> slave(s)
> lmb_v10 (i_lmb_v10) - F:\suzaku\xps_proj.mhs line 172 - 1 master(s) : 1
> slave(s)
> lmb_v10 (d_lmb_v10) - F:\suzaku\xps_proj.mhs line 180 - 1 master(s) : 1
> slave(s)
>
> Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC...
> INFO: The sdram_controller core has constraints automatically generated
by
> XPS in
> implementation/sdram_controller_wrapper/sdram_controller_wrapper.ucf.
> It can be overridden by constraints placed in the system.ucf file.
>
> ERROR:MDT - platgen failed with errors!
>
> ***********************************************
>
> どうのように
> すれば解決できるか? 教えて出来ませんか よろしくお願いします
>

Start Kit Guide(FPGA)Version2.1.5のp254に
BRAM容量変更の作業が記述されているのですが、このとき
* d_lmb_bram_if_cntlr
* i_lmb_bram_if_cntlr
の両方の設定を変更していらっしゃるでしょうか?
どちらか一方しか変更していない場合、上記エラーが発生しました。
一度ご確認ください。

もし、エラーが直らない場合、差支えがなければMHSファイルの内容を送っていただ
ける
とアドバイスしやすくなり、助かります。

以上よろしくお願いいたします。




_______________________________________________
suzaku mailing list
email@hidden
http://lists.atmark-techno.com/mailman/listinfo/suzaku





suzaku メーリングリストの案内