[Suzaku:01353] Re: ISE での GPIO 使用方法について

Yusuke Nakamori email@hidden
2009年 1月 22日 (木) 11:39:09 JST


中森です.

返信ありがとうございます.

> > EDK で追加した GPIO に ISE でのモジュールの出力を出力したいのですが
> > どのように行えばよろしいのでしょうか.
> > なお,開発環境は ISE 10.1.03 と EDK 10.1.03 です.
> > 
> > ( SUZAKU スターターキットガイド FPGA 開発編の第 12 章の応用を行えばよろしいのでしょうか.)
> > 
> > どなたか御教授お願い致します.
> > 
> SUZAKUのデフォルトのFPGAプロジェクトを使っているのであれば、
> EDKにてGPIOを追加した後、12章の作業を行えばいけると思います。
> 
> EDKのHelp -> Help Topics -> Contents -> エンベッドプロセッサの設計手順
>              -> XPSデザインをISEサブモジュールとして作成
> なども参考になると思うので見てみてください。

追加した GPIO は EDK の Help に書いてある方法で ISE に
取り込むことはできましたが ISE のモジュールの出力をどこに
つなげば GPIO に 出力できるのでしょうか.

EDK の方の ucf ファイルで GPIO のピンの位置を A2 にしたすると,
ISE の floorplan IO でモジュールの出力のピンの位置を
同じ A2 にするだけでよろしいのでしょうか.

御回答よろしくお願いします.



suzaku メーリングリストの案内