[Suzaku:01354] Re: ISE での GPIO 使用方法について

mio email@hidden
2009年 1月 22日 (木) 12:38:06 JST


中島です。

Yusuke Nakamori さんは書きました:
> 中森です.
> 
> 返信ありがとうございます.
> 
>>> EDK で追加した GPIO に ISE でのモジュールの出力を出力したいのですが
>>> どのように行えばよろしいのでしょうか.
>>> なお,開発環境は ISE 10.1.03 と EDK 10.1.03 です.
>>>
>>> ( SUZAKU スターターキットガイド FPGA 開発編の第 12 章の応用を行えばよろしいのでしょうか.)
>>>
>>> どなたか御教授お願い致します.
>>>
>> SUZAKUのデフォルトのFPGAプロジェクトを使っているのであれば、
>> EDKにてGPIOを追加した後、12章の作業を行えばいけると思います。
>>
>> EDKのHelp -> Help Topics -> Contents -> エンベッドプロセッサの設計手順
>>              -> XPSデザインをISEサブモジュールとして作成
>> なども参考になると思うので見てみてください。
> 
> 追加した GPIO は EDK の Help に書いてある方法で ISE に
> 取り込むことはできましたが ISE のモジュールの出力をどこに
> つなげば GPIO に 出力できるのでしょうか.
> 

EDKにてExternal PortsにGPIOのピンを書いておくと、
サブモジュール化した時にそのGPIOのピンが増えていると思うので、
それに接続して下さい。

例  top.vhd
---------------------------------------------------
entity top is
  port (
     ・
     ・
    nSW : in std_logic_vector(0 to 2)
  );
end top;

architecture IMP of top is
  component xps_proj is
    port (
     ・
     ・
      GPIO : in std_logic_vector(0 to 2) -- 追加したGPIO
    );
  end component;

begin
  xps_proj_i : xps_proj
    port map (
     ・
     ・
      GPIO  => GPIO -- 追加したGPIO
    );

    GPIO <= not nSW;
----------------------------------------------------

例  xps_proj.ucf
----------------------------------------------------
     ・
     ・
NET "nSW<0>"           LOC =  "A14" | IOSTANDARD = LVCMOS33;
NET "nSW<1>"           LOC =  "B14" | IOSTANDARD = LVCMOS33;
NET "nSW<2>"           LOC =  "A13" | IOSTANDARD = LVCMOS33;
----------------------------------------------------

> EDK の方の ucf ファイルで GPIO のピンの位置を A2 にしたすると,
> ISE の floorplan IO でモジュールの出力のピンの位置を
> 同じ A2 にするだけでよろしいのでしょうか.
> 
> 御回答よろしくお願いします.

UCFファイルは一つだけのはず・・・。
全体構成がどうなっているのか分からないので、参考までに
私がISE化したプロジェクトの構成を以下に載せます。

|-- top.ise
|-- top.vhd
|-- vhdl
|   |-- dyna_top.vhd
|   |-- dynamic_ctrl.vhd
|   |-- seg7_decoder.vhd
|   `-- slot_counter.vhd
`-- xps_proj
    |-- code
    |-- data
    |   `-- xps_proj.ucf
    |-- etc
    |   |-- bitgen.ut
    |   |-- download.cmd
    |   |-- fast_runtime.opt
    |   `-- xmd_microblaze_0.opt
    |-- pcores
    |   `-- reset_cont_v1_00_b
    |       |-- data
    |       |   |-- reset_cont_v2_1_0.mpd
    |       |   `-- reset_cont_v2_1_0.pao
    |       `-- hdl
    |           `-- vhdl
    |               `-- reset_cont.vhd
    |-- xps_proj.mhs
    |-- xps_proj.mss
    `-- xps_proj.xmp



suzaku メーリングリストの案内